Add files via upload

pull/28/head
KiritoTRw 2016-08-12 10:12:09 +08:00 committed by GitHub
parent faae224e74
commit 302cb1ffd1
1 changed files with 25 additions and 0 deletions

25
HDOJ/1014_autoAC.cpp Normal file
View File

@ -0,0 +1,25 @@
#include <iostream>
#include <cstdio>
using namespace std;
int main()
{
unsigned int STEP,MOD;
while(cin>>STEP>>MOD)
{
int count = 1;
int seed = 0;
do
{
seed = (seed + STEP)%MOD;
++count;
} while(seed != 0);
--count;
printf("%10d%10d ",STEP,MOD);
if(count == MOD)
printf("%s\n","Good Choice");
else
printf("%s\n","Bad Choice");
printf("\n");
}
return 0;
}